Embedded Computing for High Performance: Efficient Mapping of Computations Using Customization, Code Transformations and Compilation

by Joao Manuel Paiva Cardoso, Jose Gabriel de Figueiredo Coutinho, and Pedro C. Diniz

0 ratings • 0 reviews • 0 shelved
Book cover for Embedded Computing for High Performance

Bookhype may earn a small commission from qualifying purchases. Full disclosure.

Embedded Computing for High Performance: Design Exploration and Customization Using High-level Compilation and Synthesis Tools provides a set of real-life example implementations that migrate traditional desktop systems to embedded systems. Working with popular hardware, including Xilinx and ARM, the book offers a comprehensive description of techniques for mapping computations expressed in programming languages such as C or MATLAB to high-performance embedded architectures consisting of multiple CPUs, GPUs, and reconfigurable hardware (FPGAs).

The authors demonstrate a domain-specific language (LARA) that facilitates retargeting to multiple computing systems using the same source code. In this way, users can decouple original application code from transformed code and enhance productivity and program portability.

After reading this book, engineers will understand the processes, methodologies, and best practices needed for the development of applications for high-performance embedded computing systems.
  • ISBN13 9780128041895
  • Publish Date 15 June 2017
  • Publish Status Active
  • Publish Country US
  • Publisher Elsevier Science & Technology
  • Imprint Morgan Kaufmann Publishers In
  • Format Paperback
  • Pages 320
  • Language English